Coverage for hdl_registers/generator/systemverilog/reserved_keywords.py: 0%
1 statements
« prev ^ index » next coverage.py v7.8.0, created at 2025-04-29 06:41 +0000
« prev ^ index » next coverage.py v7.8.0, created at 2025-04-29 06:41 +0000
1# --------------------------------------------------------------------------------------------------
2# Copyright (c) Lukas Vik. All rights reserved.
3#
4# This file is part of the hdl-registers project, an HDL register generator fast enough to run
5# in real time.
6# https://hdl-registers.com
7# https://github.com/hdl-registers/hdl-registers
8# --------------------------------------------------------------------------------------------------
10# Reserved keywords in the SystemVerilog programming language.
11# From:
12# * https://www.intel.com/content/www/us/en/programmable/
13# quartushelp/17.0/mapIdTopics/jka1465580561132.htm
14# * https://docs.amd.com/r/en-US/ug901-vivado-synthesis/Verilog-Reserved-Keywords
15RESERVED_SYSTEMVERILOG_KEYWORDS = {
16 "accept_on",
17 "alias",
18 "always_comb",
19 "always_ff",
20 "always_latch",
21 "always",
22 "and",
23 "assert",
24 "assign",
25 "assume",
26 "automatic",
27 "before",
28 "begin",
29 "bind",
30 "bins",
31 "binsof",
32 "bit",
33 "break",
34 "buf",
35 "bufif0",
36 "bufif1",
37 "byte",
38 "case",
39 "casex",
40 "casez",
41 "cell",
42 "chandle",
43 "checker",
44 "class",
45 "clocking",
46 "cmos",
47 "config",
48 "const",
49 "constraint",
50 "context",
51 "continue",
52 "cover",
53 "covergroup",
54 "coverpoint",
55 "cross",
56 "deassign",
57 "default",
58 "defparam",
59 "design",
60 "disable",
61 "dist",
62 "do",
63 "edge",
64 "else",
65 "end",
66 "endcase",
67 "endchecker",
68 "endclass",
69 "endclocking",
70 "endconfig",
71 "endfunction",
72 "endgenerate",
73 "endgroup",
74 "endinterface",
75 "endmodule",
76 "endpackage",
77 "endprimitive",
78 "endprogram",
79 "endproperty",
80 "endsequence",
81 "endspecify",
82 "endtable",
83 "endtask",
84 "enum",
85 "event",
86 "eventually",
87 "expect",
88 "export",
89 "extends",
90 "extern",
91 "final",
92 "first_match",
93 "for",
94 "force",
95 "foreach",
96 "forever",
97 "fork",
98 "forkjoin",
99 "function",
100 "generate",
101 "genvar",
102 "global s_until_with",
103 "highz0",
104 "highz1",
105 "if",
106 "iff ",
107 "ifnone",
108 "ignore_bins",
109 "illegal_bins",
110 "implies ",
111 "import",
112 "incdir",
113 "include",
114 "initial",
115 "inout",
116 "input",
117 "inside",
118 "instance",
119 "int",
120 "integer",
121 "interface",
122 "intersect",
123 "join_any",
124 "join_none",
125 "join",
126 "larger",
127 "let ",
128 "liblist",
129 "library",
130 "local",
131 "localparam",
132 "logic",
133 "longint",
134 "macromodule",
135 "matches",
136 "medium",
137 "modport",
138 "module",
139 "nand",
140 "negedge",
141 "new",
142 "nexttime ",
143 "nmos",
144 "nor",
145 "noshow-cancelled",
146 "not",
147 "notif0",
148 "notif1",
149 "null",
150 "or",
151 "output",
152 "package",
153 "packed",
154 "parameter",
155 "pmos",
156 "posedge",
157 "primitive",
158 "priority",
159 "program",
160 "property",
161 "protected",
162 "pull0",
163 "pull1",
164 "pulldown",
165 "pullup",
166 "pulsestyle_ondetect",
167 "pulsestyle_onevent",
168 "pure",
169 "rand",
170 "randc",
171 "randcase",
172 "randsequence",
173 "rcmos",
174 "real",
175 "realtime",
176 "ref",
177 "reg",
178 "reject_on",
179 "release",
180 "repeat",
181 "restrict",
182 "return",
183 "rnmos",
184 "rpmos",
185 "rtran",
186 "rtranif0",
187 "rtranif1",
188 "s_always",
189 "s_eventually",
190 "s_nexttime",
191 "s_until",
192 "scalared",
193 "sequence",
194 "shortint",
195 "shortreal",
196 "show-cancelled",
197 "signed",
198 "small",
199 "solve",
200 "specify",
201 "specpa",
202 "static",
203 "string",
204 "strong",
205 "strong0",
206 "strong1",
207 "struct",
208 "super",
209 "supply0",
210 "supply1",
211 "sync_accept_on",
212 "sync_reject_on",
213 "table",
214 "tagged",
215 "task",
216 "this",
217 "throughout",
218 "time",
219 "timeprecision",
220 "timeunit",
221 "tran",
222 "tranif0",
223 "tranif1",
224 "tri",
225 "tri0",
226 "tri1",
227 "triand",
228 "trior",
229 "trireg",
230 "type",
231 "typedef",
232 "union",
233 "unique",
234 "unique0",
235 "until_with",
236 "until",
237 "untypted",
238 "use",
239 "var",
240 "vectored",
241 "virtual",
242 "void",
243 "wait_order",
244 "wait",
245 "wand",
246 "weak",
247 "weak0",
248 "weak1",
249 "while",
250 "wildcard",
251 "wire",
252 "with",
253 "within",
254 "wor",
255 "xnor",
256 "xor",
257}