Documentation of caesar registers

This document is a specification for the register interface of the FPGA module caesar.

This file is automatically generated by hdl-registers version 5.2.1-dev. Code generator HtmlPageGenerator version 1.0.0. Generated 2024-07-26 20:51 at commit fde82ed5a49c96e3. Register hash 8c0e8391ad087478739e47ddc075f056e1c63641.

Register modes

The following register modes are available.

Mode Description
Read Software can read a value that hardware provides.
Write Software can write a value that is available for hardware usage.
Read, Write Software can write a value and read it back. The written value is available for hardware usage.
Write-pulse Software can write a value that is asserted for one clock cycle in hardware.
Read, Write-pulse Software can read a value that hardware provides. Software can write a value that is asserted for one clock cycle in hardware.

Registers

The following registers make up the register map.

Name Index Address Mode Default value Description
interrupt_status 0 0x0000 Read, Write-pulse 0x0 Interrupt status for my module.
  overflow   0 0b0 Too high data rate.
  underflow   1 0b0 Too low data rate.
interrupt_mask 1 0x0004 Read, Write 0x0 Enable or disable interrupts by setting bitmask.
config 2 0x0008 Read, Write 0x0 Generic configuration register.

Constants

This module does not have any constants.